用戶(hù)名: 密碼: 驗(yàn)證碼:

AI大模型時(shí)代來(lái)臨 重構(gòu)智駕產(chǎn)業(yè)新生態(tài)

摘要:“新四化”帶來(lái)新機(jī)遇,汽車(chē)行業(yè)正在經(jīng)歷前所未有的變化和發(fā)展。未來(lái)的汽車(chē)將不再只是簡(jiǎn)單的交通工具,而是具備高度智能化、自動(dòng)化和安全性的高科技產(chǎn)品。這為我國(guó)汽車(chē)及相關(guān)產(chǎn)業(yè)鏈實(shí)現(xiàn)彎道超車(chē)提供了難得的發(fā)展機(jī)遇。蓬勃發(fā)展的智駕市場(chǎng)及軟件定義汽車(chē)的大趨勢(shì),對(duì)汽車(chē)芯片行業(yè)提出越來(lái)越高要求,汽車(chē)芯片將不再局限于安全、穩(wěn)定的成熟工藝,其對(duì)高性能、高價(jià)值的先進(jìn)工藝芯片需求也越來(lái)越多,隨之伴生的是對(duì)芯片設(shè)計(jì)及EDA驗(yàn)證等全鏈條工具的更高要求。

  ICC訊 “新四化”帶來(lái)新機(jī)遇,汽車(chē)行業(yè)正在經(jīng)歷前所未有的變化和發(fā)展。未來(lái)的汽車(chē)將不再只是簡(jiǎn)單的交通工具,而是具備高度智能化、自動(dòng)化和安全性的高科技產(chǎn)品。這為我國(guó)汽車(chē)及相關(guān)產(chǎn)業(yè)鏈實(shí)現(xiàn)彎道超車(chē)提供了難得的發(fā)展機(jī)遇。新機(jī)遇也伴隨新挑戰(zhàn),智能汽車(chē)并非一蹴而就。它是建立在汽車(chē)工業(yè)軟件、車(chē)規(guī)芯片等為基礎(chǔ)的產(chǎn)業(yè)鏈之上的。蓬勃發(fā)展的智駕市場(chǎng)及軟件定義汽車(chē)的大趨勢(shì),對(duì)汽車(chē)芯片行業(yè)提出越來(lái)越高要求,汽車(chē)芯片將不再局限于安全、穩(wěn)定的成熟工藝,其對(duì)高性能、高價(jià)值的先進(jìn)工藝芯片需求也越來(lái)越多,隨之伴生的是對(duì)芯片設(shè)計(jì)及EDA驗(yàn)證等全鏈條工具的更高要求。

  從這種意義上看,中國(guó)智駕產(chǎn)業(yè)的基礎(chǔ)仍然十分薄弱,盡管中國(guó)的新能源汽車(chē)已經(jīng)賣(mài)向全球,但以高端汽車(chē)芯片為代表的上游產(chǎn)業(yè)仍然基本壟斷在海外廠商手中。中國(guó)智駕產(chǎn)業(yè)要想獲得真正的自主可控,就需要整個(gè)產(chǎn)業(yè)鏈企業(yè)共同發(fā)力,強(qiáng)化汽車(chē)芯片、EDA工業(yè)軟件等軟硬件廠商間的協(xié)同配合,打造融合、統(tǒng)一的底層技術(shù)平臺(tái)。

  智能駕駛“跨越”寒冬

  去年,以獨(dú)角獸Argo AI公司倒閉為代表,智能駕駛一度進(jìn)入寒冬,但也讓一些有著長(zhǎng)遠(yuǎn)謀劃的企業(yè)沉下心來(lái),腳踏實(shí)地搞技術(shù)、做產(chǎn)品。今年,隨著人工智能、半導(dǎo)體等基礎(chǔ)技術(shù)取得進(jìn)步,智能駕駛賽道正在迎來(lái)新的拐點(diǎn)。其實(shí),智駕行業(yè)雖然整體遇冷,各細(xì)分場(chǎng)景依然向前推進(jìn)。以礦山為例,2022年華為煤礦軍團(tuán)正式推出了面向露天礦山的無(wú)人駕駛端到端全自研解決方案,并成功實(shí)現(xiàn)商用部署和實(shí)施。分析機(jī)構(gòu)預(yù)測(cè),2025年露天礦山自動(dòng)駕駛市場(chǎng)規(guī)模可達(dá)200億元,潛在市場(chǎng)空間近3000億元。

  在乘用車(chē)方面,繼梅賽德斯-奔馳的L3級(jí)自動(dòng)駕駛系統(tǒng)分別在德國(guó)和美國(guó)獲得官方認(rèn)證并上車(chē)后,寶馬公司正在旗下的寶馬7系上推廣L3級(jí)自動(dòng)駕駛功能。IHS Markit預(yù)測(cè),L3級(jí)自動(dòng)駕駛與全自動(dòng)停車(chē)、全高速自動(dòng)巡航等L4級(jí)功能將于2025年在大眾市場(chǎng)普及。

  如果說(shuō)美國(guó)方面采取的是單車(chē)智能為主發(fā)展模式,通過(guò)攝像頭、雷達(dá)等傳感器和高效算法,賦予車(chē)輛智能駕駛能力;那么,中國(guó)選擇了“車(chē)路協(xié)同”模式,越來(lái)越多國(guó)產(chǎn)智能駕駛正在融入由“云-網(wǎng)-端”構(gòu)成的智能路網(wǎng)當(dāng)中。

  11月份,工信部、公安部、住房和城鄉(xiāng)建設(shè)部、交通運(yùn)輸部四部委發(fā)布聯(lián)合通知,部署開(kāi)展智能網(wǎng)聯(lián)汽車(chē)準(zhǔn)入和上路通行試點(diǎn)工作。根據(jù)工信部12月消息,截至目前,我國(guó)累計(jì)開(kāi)放智能網(wǎng)聯(lián)汽車(chē)測(cè)試道路2萬(wàn)多公里,測(cè)試示范區(qū)達(dá)17個(gè)、“雙智”試點(diǎn)城市達(dá)16個(gè)。從上可知,今年以來(lái),無(wú)論國(guó)際還是國(guó)內(nèi),智駕產(chǎn)業(yè)正在擺脫低迷市場(chǎng)的影響,商業(yè)化運(yùn)行已經(jīng)開(kāi)啟,行業(yè)發(fā)展正在迎來(lái)新階段。

  離不開(kāi)的“算力芯片”

  在本輪智駕產(chǎn)業(yè)的發(fā)展中,由于ChatGPT熱潮席圈,人們無(wú)不對(duì)生成式AI與智駕產(chǎn)業(yè)的結(jié)合寄予厚望。據(jù)報(bào)道,特斯拉正在中國(guó)組建一個(gè)超過(guò)20人的本地運(yùn)營(yíng)團(tuán)隊(duì),推進(jìn)自動(dòng)駕駛解決方案FSD在中國(guó)本土的落地。百度、阿里、科大訊飛等也紛紛與車(chē)廠合作,將旗下AI大模型產(chǎn)品接入智能車(chē)型當(dāng)中。對(duì)此,有專(zhuān)家指出,這實(shí)際上是一個(gè)“駕駛腦”的概念,AI大模型在接收到各種感知信息后,通過(guò)規(guī)劃和決策,以一種駕駛方式來(lái)控制車(chē)輛去避障繞彎,選擇最優(yōu)路徑,從而把乘客送達(dá)目的地。之前的自動(dòng)駕駛訓(xùn)練缺乏知識(shí)遷移的能力,顯得難以應(yīng)對(duì)。而通過(guò)AIGC技術(shù)在智能汽車(chē)中的發(fā)展應(yīng)用,可以嘗試解決這方面的復(fù)雜問(wèn)題。

  值得注意的是,無(wú)論人工智能的運(yùn)算還是對(duì)大數(shù)據(jù)的處理,都離不開(kāi)強(qiáng)大芯片算力的支撐。生成式AI+智能駕駛模式使得芯片在汽車(chē)中的作用愈加明顯。根據(jù)中國(guó)汽車(chē)工業(yè)協(xié)會(huì)數(shù)據(jù),傳統(tǒng)燃油車(chē)所需汽車(chē)芯片數(shù)量為600-700顆,電動(dòng)車(chē)所需的汽車(chē)芯片數(shù)量將提升至1600顆/輛,而更高級(jí)的智能汽車(chē)對(duì)芯片的需求量有望提升至3000顆/輛。

  傳統(tǒng)上,汽車(chē)芯片主要包括功能芯片、功率器件、存儲(chǔ)芯片、傳感器、通信芯片等幾個(gè)大的類(lèi)型。其中功能芯片負(fù)責(zé)對(duì)ECU的管理控制;功率半導(dǎo)體器件用于電力的轉(zhuǎn)換和管理;存儲(chǔ)芯片面向數(shù)據(jù)存儲(chǔ);汽車(chē)傳感器與通信芯片用于感受外界信號(hào)、物理變化或者化學(xué)組成,并將檢測(cè)到的信號(hào)轉(zhuǎn)變?yōu)殡娦盘?hào)傳遞給其他設(shè)備。

  現(xiàn)代的汽車(chē)則越來(lái)越像一個(gè)自動(dòng)行走的智能機(jī)器人和人們生產(chǎn)生活的“第三空間”,集“智能化、安全化、電動(dòng)化、網(wǎng)聯(lián)化”于一身,新興并集成汽車(chē)當(dāng)中的算力芯片將發(fā)揮越來(lái)越關(guān)鍵的作用,支持智能駕駛、輔助系統(tǒng)以及車(chē)內(nèi)娛樂(lè)等。這類(lèi)芯片一般是集成了CPU、圖像處理GPU、音頻處理DSP、深度學(xué)習(xí)加速單元NPU以及內(nèi)存和各種I/O接口的SOC芯片。未來(lái)智能座艙所代表的“車(chē)載信息娛樂(lè)系統(tǒng)+流媒體后視鏡+抬頭顯示系統(tǒng)+全液晶儀表+車(chē)聯(lián)網(wǎng)系統(tǒng)+車(chē)內(nèi)乘員監(jiān)控系統(tǒng)”等多重體驗(yàn),都將依賴(lài)于智能SoC芯片。

  此類(lèi)芯片的制造工藝也不再是傳統(tǒng)成熟工藝所能支撐,而是大多采用先進(jìn)工藝。如恩智浦的S32系列微處理器,從推出時(shí)的16nm工藝,路線圖已經(jīng)規(guī)劃到5nm。高通發(fā)布的第四代座艙平臺(tái),性能最高的處理器驍龍8295也將采用5nm工藝。在處理性能方面,L3級(jí)別的車(chē)端中央計(jì)算平臺(tái)算力需求已達(dá)500+TOPS,未來(lái)汽車(chē)芯片的算力需求只會(huì)更高,也需要更先進(jìn)的制程工藝給予支撐。

  汽車(chē)芯片“國(guó)產(chǎn)替代”艱難

  提起比亞迪、華為問(wèn)界……這些耳熟能詳?shù)钠放?,大家都覺(jué)得中國(guó)智能駕駛汽車(chē)產(chǎn)業(yè)已經(jīng)非常強(qiáng)大。類(lèi)似“國(guó)產(chǎn)乘用車(chē)前11個(gè)月累計(jì)銷(xiāo)量達(dá)1297.8萬(wàn)輛,超越日德,一躍成為全球汽車(chē)出口第一大國(guó)”的報(bào)道,也令讀者產(chǎn)生信心。但是,從產(chǎn)業(yè)鏈角度考察卻會(huì)發(fā)現(xiàn),成績(jī)的背后還隱藏著巨大的隱憂(yōu)。

  正如上面所述,AI大模型時(shí)代汽車(chē)芯片的作用將越來(lái)越明顯,算力芯片更是整車(chē)的運(yùn)算核心。但值得注意的是,目前這些領(lǐng)域仍然基本掌控在海外大廠手中。英飛凌在整個(gè)汽車(chē)芯片市場(chǎng)以及功率半導(dǎo)體領(lǐng)域中占據(jù)領(lǐng)導(dǎo)地位,恩智浦則在汽車(chē)處理器市場(chǎng)上遙遙領(lǐng)先,意法半導(dǎo)體占據(jù)著最大的SiC器件和模組市場(chǎng)份額,瑞薩則是汽車(chē)MCU(微控制器單元)的佼佼者;在新興的算力芯片領(lǐng)域,更是集中了英偉達(dá)、高通、英特爾、特斯拉等巨頭,展開(kāi)激烈競(jìng)爭(zhēng)。

  去年爆發(fā)的“缺芯潮”雖然為國(guó)內(nèi)芯片廠商開(kāi)辟了一條切入汽車(chē)供應(yīng)鏈的通道,但是要想真正發(fā)展起來(lái),有效實(shí)現(xiàn)國(guó)產(chǎn)替代,卻遠(yuǎn)不是那么容易就能做到。據(jù)了解,目前只有極少數(shù)國(guó)內(nèi)廠商能夠得窺一絲門(mén)徑,如芯擎科技日前發(fā)布的“龍鷹一號(hào)”SoC在吉利領(lǐng)克08等數(shù)款車(chē)型上實(shí)現(xiàn)規(guī)模應(yīng)用,是國(guó)內(nèi)唯一實(shí)現(xiàn)7納米車(chē)規(guī)芯片量產(chǎn)的廠商。大部分國(guó)產(chǎn)芯片廠商還很難真正跨入汽車(chē)芯片這個(gè)市場(chǎng)。

  之所以存在這樣的情況,一方面是因?yàn)檐?chē)規(guī)級(jí)芯片對(duì)質(zhì)量、可靠性、使用壽命等方面具有高標(biāo)準(zhǔn)、嚴(yán)要求,導(dǎo)致芯片的生產(chǎn)開(kāi)發(fā)難度更大,國(guó)內(nèi)只有少數(shù)具備高水準(zhǔn)工藝的芯片廠商可以達(dá)到這樣的要求。更關(guān)鍵的是,國(guó)內(nèi)尚沒(méi)有建立起完善的車(chē)規(guī)芯片產(chǎn)業(yè)生態(tài)。相對(duì)于消費(fèi)級(jí)、工業(yè)級(jí)芯片而言,車(chē)規(guī)芯片的標(biāo)準(zhǔn)更加嚴(yán)苛,準(zhǔn)入門(mén)檻更高。國(guó)內(nèi)要想真正發(fā)展汽車(chē)芯片產(chǎn)業(yè),進(jìn)而打造完善的智駕產(chǎn)業(yè)鏈,就必需建立一個(gè)相對(duì)完善的產(chǎn)業(yè)生態(tài)體系,為行業(yè)提供芯片設(shè)計(jì)、工藝協(xié)同、樣片流片、測(cè)試認(rèn)證等服務(wù),進(jìn)而才能以此為基礎(chǔ),降低上下游協(xié)同成本,加快技術(shù)研發(fā)速度,滿(mǎn)足市場(chǎng)需求。

  獨(dú)木不成林:?jiǎn)吸c(diǎn)突破不如全軍突擊

  事實(shí)上,面對(duì)這樣的產(chǎn)業(yè)狀態(tài),汽車(chē)品牌廠商從供應(yīng)鏈穩(wěn)定角度出發(fā),更加需要國(guó)產(chǎn)芯片能夠迅速成長(zhǎng)起來(lái)。這既是挑戰(zhàn)也是機(jī)遇。芯擎科技戰(zhàn)略業(yè)務(wù)發(fā)展副總裁孫東就指出:“目前整個(gè)市場(chǎng)基本上都是由海外廠商壟斷高端智能座艙SoC,這從國(guó)產(chǎn)汽車(chē)的國(guó)產(chǎn)化需求和供應(yīng)鏈多樣化上看,都是不太合理的。從客戶(hù)需求上看,他們也需要一個(gè)差異化的方案。”

  那么,如何才能打造完善的車(chē)規(guī)芯片產(chǎn)業(yè)生態(tài)呢?近期發(fā)布的一則案例引起了國(guó)內(nèi)車(chē)圈的廣泛關(guān)注:芯擎科技日前宣布,旗下產(chǎn)品開(kāi)始導(dǎo)入芯華章車(chē)規(guī)級(jí)EDA驗(yàn)證工具,以加速新一代智能駕駛芯片的開(kāi)發(fā)。分析一下這則消息就會(huì)發(fā)現(xiàn),這兩家公司一家是國(guó)產(chǎn)高端車(chē)規(guī)芯片設(shè)計(jì)公司,在國(guó)內(nèi)唯一實(shí)現(xiàn)7納米車(chē)規(guī)芯片量產(chǎn);另一家是聚焦于數(shù)字驗(yàn)證領(lǐng)域,目前唯一打造出全流程驗(yàn)證工具的國(guó)產(chǎn)EDA公司。兩家公司的合作,為我國(guó)汽車(chē)智駕產(chǎn)業(yè)如何構(gòu)建生態(tài)提供了一個(gè)注腳。

  很多讀者可能對(duì)EDA在汽車(chē)智能駕駛產(chǎn)業(yè)鏈中的發(fā)揮作用還不太熟悉。近日,由中國(guó)汽車(chē)工業(yè)協(xié)會(huì)發(fā)布的《中國(guó)汽車(chē)工業(yè)軟件發(fā)展建設(shè)白皮書(shū)》(以下簡(jiǎn)稱(chēng)《白皮書(shū)》)介紹了智能化背景下,車(chē)規(guī)級(jí)工業(yè)軟件的發(fā)展需求、標(biāo)準(zhǔn)和應(yīng)用場(chǎng)景等。其中關(guān)于EDA的描述中,收錄了芯華章提到的核心概念“PIL處理器在環(huán)仿真”。

  面對(duì)新的應(yīng)用場(chǎng)景,為了更好打造從芯片到主機(jī)廠的閉環(huán),EDA也需要提供系統(tǒng)級(jí)的設(shè)計(jì)驗(yàn)證,才能滿(mǎn)足車(chē)規(guī)級(jí)開(kāi)發(fā)需求。PIL融合了場(chǎng)景仿真和芯片仿真技術(shù),結(jié)合整車(chē)V開(kāi)發(fā)模型,從系統(tǒng)出發(fā),提供了基于場(chǎng)景的ECU評(píng)價(jià)體系、算法優(yōu)化解決方案,支持車(chē)規(guī)級(jí)芯片提前1-2年實(shí)現(xiàn)定點(diǎn)上車(chē),并通過(guò)云場(chǎng)景遍歷仿真為HIL測(cè)試節(jié)省80%的時(shí)間。

  在面向城市道路、戶(hù)外越野等汽車(chē)行業(yè)諸多豐富且獨(dú)特的應(yīng)用場(chǎng)景,需要基于特定場(chǎng)景,融合更快、更高性能、更安全的芯片仿真技術(shù),如此才能有效降低芯片在整車(chē)應(yīng)用過(guò)程中的風(fēng)險(xiǎn),在確保低故障的同時(shí),又能夠讓芯片的性能真正發(fā)揮出來(lái)。一款優(yōu)秀的場(chǎng)景和芯片仿真驗(yàn)證工具,可以幫助解決時(shí)間、人才、工具等三方面的挑戰(zhàn),更快地進(jìn)行定制化芯片的研發(fā),降低開(kāi)發(fā)人才門(mén)檻,縮短開(kāi)發(fā)周期,降低各項(xiàng)風(fēng)險(xiǎn)。

  孫東在公開(kāi)場(chǎng)合曾經(jīng)表達(dá)過(guò)對(duì)于開(kāi)發(fā)周期前置的考慮,“先進(jìn)工藝制程的大芯片由于其復(fù)雜度,整個(gè)開(kāi)發(fā)周期一般在數(shù)年之間,因此其芯片規(guī)格的定義需要具有一定的前瞻性,特別是針對(duì)目前快速發(fā)展升級(jí)的智能汽車(chē)領(lǐng)域;如何準(zhǔn)確定位到客戶(hù)未來(lái)的需要,并將其與現(xiàn)有的產(chǎn)品開(kāi)發(fā)計(jì)劃相有效結(jié)合,在產(chǎn)品面世的時(shí)候能夠滿(mǎn)足市場(chǎng)的新需求并具有競(jìng)爭(zhēng)力,這是所有做先進(jìn)制程大芯片公司所共同面臨的一個(gè)挑戰(zhàn)。”

  借助芯華章車(chē)規(guī)級(jí)EDA驗(yàn)證工具,芯擎科技能夠在芯片設(shè)計(jì)階段,就進(jìn)行和真實(shí)使用場(chǎng)景一致的系統(tǒng)級(jí)軟硬件聯(lián)合仿真和調(diào)試,提升系統(tǒng)級(jí)應(yīng)用環(huán)境下軟硬件協(xié)同表現(xiàn),降低芯片在整車(chē)應(yīng)用過(guò)程中的風(fēng)險(xiǎn),縮短開(kāi)發(fā)周期。

  記者采訪某國(guó)內(nèi)資深驗(yàn)證工程師時(shí),對(duì)方也指出:“自動(dòng)駕駛技術(shù)是一個(gè)復(fù)雜的軟硬件系統(tǒng)體系,EDA作為汽車(chē)SoC和系統(tǒng)的基礎(chǔ)技術(shù),除了賦能車(chē)規(guī)芯片設(shè)計(jì)外,也可以在解決其他挑戰(zhàn)方面扮演更重要的角色。特別是在系統(tǒng)級(jí)建模、場(chǎng)景仿真和量化分析、信息安全以及功耗優(yōu)化等四個(gè)方面,EDA可以結(jié)合自身在驗(yàn)證仿真、數(shù)據(jù)處理、算法優(yōu)化等方面的優(yōu)勢(shì),輸出具備行業(yè)特色的創(chuàng)新解決方案?!?

  強(qiáng)強(qiáng)聯(lián)合的背后是什么?

  AI大模型時(shí)代,隨著智能化水平的不斷提高,芯片和應(yīng)用軟件的協(xié)同已經(jīng)成為未來(lái)汽車(chē)領(lǐng)域?qū)崿F(xiàn)產(chǎn)品差異化與提升用戶(hù)體驗(yàn)的一個(gè)關(guān)鍵,借助強(qiáng)大的仿真、定義、驗(yàn)證工具,EDA能夠幫助產(chǎn)品實(shí)現(xiàn)更好的差異化,從而為用戶(hù)提供更高性?xún)r(jià)比的產(chǎn)品。而要想打造更加完善高效的國(guó)產(chǎn)智駕產(chǎn)業(yè)生態(tài),就必須有效整合不同產(chǎn)業(yè)環(huán)節(jié),推動(dòng)EDA、芯片以及其他產(chǎn)業(yè)鏈不同環(huán)節(jié)企業(yè)之間的相互合作,相互融合。產(chǎn)業(yè)生態(tài)的建設(shè)需要強(qiáng)強(qiáng)聯(lián)合,單打獨(dú)斗完不成產(chǎn)業(yè)鏈的融合發(fā)展。從這點(diǎn)來(lái)看,上述芯擎科技與芯華章的合作,具有更大的產(chǎn)業(yè)意義。

  值得一提的是,近年來(lái),國(guó)產(chǎn)EDA力量正在持續(xù)加強(qiáng)在車(chē)規(guī)領(lǐng)域的布局,從產(chǎn)品到解決方案,乃至產(chǎn)業(yè)鏈上的合作,投入大量精力的同時(shí),也取得豐碩成果。

  今年5月,芯華章投資汽車(chē)電子解決方案公司Optima Design Automation,這是一家華為曾選擇合作的汽車(chē)電子車(chē)規(guī)解決方案供應(yīng)商。

  7月,國(guó)內(nèi)模擬芯片EDA龍頭華大九天,官宣其可靠性分析工具Empyrean Polas®獲ISO 26262 TCL3和IEC 61508 T2國(guó)際標(biāo)準(zhǔn)認(rèn)證證書(shū)。同時(shí),華大九天多款數(shù)字、模擬EDA產(chǎn)品也即將在年內(nèi)陸續(xù)通過(guò)ISO 26262 TCL3和IEC 61508 T2產(chǎn)品認(rèn)證。

  12月,芯華章EDA工具獲ISO 26262國(guó)際標(biāo)準(zhǔn)認(rèn)證,能夠支持汽車(chē)安全完整性標(biāo)準(zhǔn)最高ASIL D級(jí)別的芯片開(kāi)發(fā)驗(yàn)證。

  據(jù)了解,在汽車(chē)領(lǐng)域業(yè)內(nèi),ISO 26262是全球公認(rèn)的汽車(chē)領(lǐng)域電氣/電子相關(guān)功能安全標(biāo)準(zhǔn),已成為車(chē)規(guī)級(jí)芯片供應(yīng)商進(jìn)入汽車(chē)行業(yè)的準(zhǔn)入門(mén)檻之一。

  新一輪汽車(chē)革命方興未艾,作為汽車(chē)制造產(chǎn)業(yè)大國(guó),我國(guó)汽車(chē)產(chǎn)業(yè)有望在這一輪全球智能駕駛產(chǎn)業(yè)發(fā)展浪潮中實(shí)現(xiàn)彎道超車(chē)。這樣一個(gè)趕超式的快速發(fā)展需要更多國(guó)內(nèi)細(xì)分領(lǐng)域崛起,然后強(qiáng)強(qiáng)聯(lián)合,出現(xiàn)更多“芯擎-芯華章”式的合作,才能共同把產(chǎn)業(yè)生態(tài)做強(qiáng)做好。

內(nèi)容來(lái)自:愛(ài)集微
本文地址:http://odinmetals.com//Site/CN/News/2024/04/10/20240410075049838202.htm 轉(zhuǎn)載請(qǐng)保留文章出處
關(guān)鍵字:
文章標(biāo)題:AI大模型時(shí)代來(lái)臨 重構(gòu)智駕產(chǎn)業(yè)新生態(tài)
1、凡本網(wǎng)注明“來(lái)源:訊石光通訊網(wǎng)”及標(biāo)有原創(chuàng)的所有作品,版權(quán)均屬于訊石光通訊網(wǎng)。未經(jīng)允許禁止轉(zhuǎn)載、摘編及鏡像,違者必究。對(duì)于經(jīng)過(guò)授權(quán)可以轉(zhuǎn)載我方內(nèi)容的單位,也必須保持轉(zhuǎn)載文章、圖像、音視頻的完整性,并完整標(biāo)注作者信息和本站來(lái)源。
2、免責(zé)聲明,凡本網(wǎng)注明“來(lái)源:XXX(非訊石光通訊網(wǎng))”的作品,均為轉(zhuǎn)載自其它媒體,轉(zhuǎn)載目的在于傳遞更多信息,并不代表本網(wǎng)贊同其觀點(diǎn)和對(duì)其真實(shí)性負(fù)責(zé)。因可能存在第三方轉(zhuǎn)載無(wú)法確定原網(wǎng)地址,若作品內(nèi)容、版權(quán)爭(zhēng)議和其它問(wèn)題,請(qǐng)聯(lián)系本網(wǎng),將第一時(shí)間刪除。
聯(lián)系方式:訊石光通訊網(wǎng)新聞中心 電話:0755-82960080-168   Right